74xx244 or 74xx245

Status
Not open for further replies.

AtomSoft

Well-Known Member
Im not sure if this is the craziest question at the moment. But ....

I have a 1.8v system which sends out LCD data at well... 1.8v... i need to translate that 1.8v to 3.3v for a LCD...

Now i know about the 74xx245 which is used as a level translator but for the amount of pins it doesnt seem cost effective. I have to convert about 30 lines (40 lines at most) so i was reading something somewhere the other day and someone used a simple 74xx244 as the translator. Is this safe?

Its a LCD and there is no data going back to the main board i think. All the pins are Output type... or i assume. (no assume jokes plz)

The LCD is similar to the PSP Style LCDs but has a touch screen. The touch part does not concern me at the moment. Just the actual LCD lines. So is it possible to use a 244? or do i HAVE to use a 245 ?

This is the 74xx244 i planned on getting... $1.5 each (20 lines)

https://www.electro-tech-online.com/custompdfs/2012/05/74LVC_LVCH16244A.pdf
 
Last edited:
The 74xx245 is not a level translator, it is a bus transceiver. Although the part you listed will operate from 1.8 to 3, the vin vo spec changes depending on operating voltage. You may want to check out this Maxim page.

----------------------------------edit---------
Oh Crap, forgot the link

**broken link removed**
 
Last edited:
Thanks for pointing that out but a invisible link is as useful as no answer

I just read a 244 is basically a single direction type device while a 245 is a bi direction. The 245 is used to translate voltages all the time. And 244 is used for the same thing but mostly in jtags
 
Last edited:
The biggest one is the MAX3023... At $3 each and only 4 translations ill need about 10 chips so about $30 compared to $3 on my choice
 
Okay, I did forget the link, I edited my above post and added it opps . In your post you said the 245 was a translator, it is not. Now with some of the newer logic families there are wide operating ranges, but that does not make it a translator.
 
The biggest one is the MAX3023... At $3 each and only 4 translations ill need about 10 chips so about $30 compared to $3 on my choice

Okay, that was a cursory search, I am sure there is a cheaper way, I will do some searching later.
 
Heh, when i said "245 was a translator" i meant "used as a level translator" because thats what most people use it for. For instance: https://www.futurlec.com/Mini_Logic.shtml

Couldnt i use a 244 for the same thing but in 1 direction?

No, most people use it as a transceiver which it was designed for. Now the low voltage part with 5v tolerance is a added bonus. Farnell seems to be marketing their little circuit board as a level translator, but that does not imply the true purpose of the chip. Below is an excerpt from the data sheet explaining the purpose of the part.

The LCX245 contains eight non-inverting bidirectional buffers
with TRI-STATE® outputs and is intended for bus oriented
applications. The device is designed for low voltage
(3.3V) VCC applications with capability of interfacing to a 5V
signal environment.


Now to your current inquiry. It seems from my searching that 3.3v to 5v interface logic is commonplace, however; 1.8v to 3.6v presents some challenges (may explain high cost), I give the following link to explain:
https://www.electro-tech-online.com/custompdfs/2012/05/0093454628129.pdf

With that said, you can always take your chances with the part you mentioned above, but I would say it would be a gamble.

I did find this part that will work, but it is a 245 with lots of pins. For some reason, not carried in 244 flavor, but will handle your voltage range. https://www.electro-tech-online.com/custompdfs/2012/05/TC74VCX163245FT_en_datasheet_071019.pdf

I am sure other posters might find just the right part for ya, but the one I listed will work...
 
yeah i see the issue now. Most of the ICs out there would need a either a fair amount of trace work or cost so much. Do you think a CPLD would be better?

http://search.digikey.com/us/en/products/XC2C64A-7VQG100C/122-1409-ND/949460

For instance... Is a 1.7 V ~ 1.9 V CPLD but can have separate IO banks with different voltages.
So im thinking whats stopping me from making 1 bank 1.8v and 1 3.3v and creating a buffer from one to the other.

And its only $3 with 64 i/o so about 31 inputs and 31 outputs. (need some i/o for clock)
 
Last edited:
Last edited:
Ok let me explain the whole thing here... I have a Beagleboard-xM which has DVI out through a HDMI port and also before that it has a LCD Port. The issue is the LCD port is 1.8v logic so im terrified of it really. I dont want to burn out the main MPU.

So now im thinking it would be easier to use the DVI port and make a HDMI to TFT type board. Would save me tons of Problems from routing to cost.

The main IC for the DVI stuff is... the TFP410PAP

So im thinking i can use a HDMI cable to another PCB and convert it to Normal Parallel data with a... TFP401PZP

which is basically a receiver IC... it cost about $8 anyway and would allow me to place the LCD far away from main board like a normal monitor.

I already made a eagle part for it. Was a huge headache to make but i did it. Do you think it would be better to just use the TFP401 ?
 
So far its pretty straight forward IC... take a look.. of course its not done. Missing tons of caps and such but thats the least of my worries
 
Last edited:
I still like your CPLD solution, cheap and elegant. Cool thing with CPLD is you can make design changes on the fly without rerouting a board.
 
Thats true but having to reinstall Xilinx stuff and then making the code (not to hard), needing a crystal and then having to make another board just for the tft alone ... heh im a lazy guy
 
Thats true but having to reinstall Xilinx stuff and then making the code (not to hard), needing a crystal and then having to make another board just for the tft alone ... heh im a lazy guy

Is Xilinx still RAM based? (Been 13 yrs since I did cpld's) Why should you need crystals for buffers? I would think there are stand alone cpld's out there, that is the cool thing of vhdl, the code works cross platform.
 
CPLD uses RAM i think... but there is no internal clocks so how would i check if data changed?
 
Ya know... If I owned an electronic company I would hire you on the spot. Over the years I have seen your growth in this field, I am impressed by your progress. With that said, I think you will find the right choice for your project.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…