countdown timer

Status
Not open for further replies.

cjn566

New Member
how could i build or buy a module that when triggered would count down a specified amount of time, then change state?
 
Don't know if this will be useful or not, but here is a schematic that used a segment display to count up or down.
Changing the values of RC timing for the 555 IC will change how fast it counts up/down.
You can cascade additional 4029 IC's to allow for more displays.
I guess it depends on how accurate you want it. probably not the best if you want very accurate timing.
 

Attachments

  • Schematic.jpg
    29 KB · Views: 264
building a coffee maker. when i press a button, the circuit will count enough time to brew a cup (3 to 6 min, tba). preferrably adjustable, but not necessary. Then it will trigger a solenoid valve to drain the pot into a cup for 5 to 10 sec.
 
building a coffee maker. when i press a button, the circuit will count enough time to brew a cup (3 to 6 min, tba). preferrably adjustable, but not necessary. Then it will trigger a solenoid valve to drain the pot into a cup for 5 to 10 sec.

This sort of thing would best be done with a Microcontroller as Blueroom mentioned. Then you can add all sorts of features and options abd flexability for future upgrades.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…