osillator

Status
Not open for further replies.
Are you using the oscillator for simulation? As far as I know you can't implement a oscillator in a CPLD or FPGA. I have seen people build a crystal driver to generate a clock signal but you need external components.

If you give more information about what you are trying to do we might be able to help you more.
 
yes,I am trying to implement a circuit including a built in oscillator on a fpga using xilinx foundation 4 software.
but the problem is the virtex family(my circuit works only on this family) library dosen't have osc4 which spartan family dose, and so on it can not be implemented,thus I am trying to find a vhdl code.
 
The osc4 you are talking about seems to just drive a relaxation oscillator. A relaxation oscillator is just a NOT gate and a RC circuit. For 500k-1MHz you are probably better off going with a crystal. A simple parallel crystal oscillator should be easy to build. You need the external components - an oscillator can't be generated with only VHDL.
 

You cant synthesize a VHDL oscillator without existing hardware that supports it! Spartan family was the last time they included a built in hardware osc (which is why you can instantiate one in that part)

You can write VHDL that does a simple bit toggle for simulation of a clock signal. But it is for simulation only and will not synthesize an oscillator.
The fpga is a _digital_ part and does not contain R&C's that would be necessary for an oscillator. The spartan had a very crude one but like I said, that was the last time. Which by the way that oscillator is NOT suitable for use as a clock. Use it only for blinking LEDs or for some inaccurate time measurements (cuz thats what you'll get!).

You need to bring in an external clock source.
 
Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…