Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

thermocouples

ShawnR

Member
Hello all
I recently acquired an experimental aircraft (Van's RV9A). The builder is deceased so I am trying to figure out why or how some things were done. Hoping you(s) can give me some direction and input.

The engine has 4 cylinder head temperature (CHT) sensors and 4 exhaust gas temperature (EGT) sensors (all thermocouples). Access behind the panel is difficult (lie on back working up into wiring nest...) but from what I can see, it looks like the sensor wires go into copper wires , then they go to a 4 position rotary switch, then off to the instrument (analog meter) One circuit for CHT , one for EGT, 2 instruments. My problem is that switching of the control is very intermittent. Occasionally, I get a reading on one circuit, mostly, nothing) Both circuits seem to be the same, although the temp ranges would be different.

My questions as I have not done much with thermocouples directly.....
I thought you were not supposed to change the sensor wire ie, it is supposed to go directly to the reading unit ie gauge, meter, op amp, etc. So I would think that the transition to copper wire, then to a switch would be a bad thing...? I do recall reading not to shorten a thermocouple wire but at some point, it needs to be connected to an instrument. Right now, each sensor wire is coiled up, then the copper wire is coiled up, contributing to a lot more wire than is necessary in an already congested area.

I think that a worthwhile project would be to replace the system with the thermocouples going to an Arduino and display. I could then set alarm limits, etc. I would need to build an interface of course, but if I recall correctly from my limited Arduino research, there are several thermocouple interfaces already available. I need to research the 4 inputs versus one display but thinking quad op amp, etc.

I am starting to think about getting into this project so hoping for a bit of input. Needing to switch between the cylinders in order to show a reading is not as informative as having a ucontroller watching limits for you. One cylinder could be getting hotter and you would not know unless you switch regularly or it is too late, so, I think it would be a great, worthwhile project. I could also move the thermocouple wires out to the engine compartment and then just run sensor wires into the cabin and panel, simplyfing the panel wiring.

Any input?
Thanks
Shawn
 
The attached might be useful. With one SOC you can do multiple thermocouple
because of DelSig accuracy (onchip Vref) and on chip muxing of connections.

1718544427069.png

Project example and ap notes attached.

Easy to add internal LCD controller to get readout.


Note this is what SOC has in it, multiple copies in most instances :

1718544549045.png



Regards, Dana.
 

Attachments

  • Infineon-AN75511_PSoC_3_PSoC_5LP_Temperature_Measurement_with_a_Thermocouple-ApplicationNotes-...pdf
    657.7 KB · Views: 28
  • Infineon-CE219905_PSoC_3_and_PSoC_5LP_Temperature_Sensing_with_a_Thermocouple-Code Example-v01...pdf
    632.9 KB · Views: 26
  • Infineon-CE95382-Code Example-v01_01-EN.zip
    26.2 KB · Views: 23
Last edited:
A little background :

1) It has a number of standard hard coded peripherals in it, and a number of UDB
blocks which have library functions, like advanced timers, DSP, DDS, encoders, LUTs...

2) It has everything from basic gates to LUTs for basic logic

3) Routable internal and to externals via pins

4) Rich analog from 20 bit DelSig to SARs, some chips 2 SARs and 1 Delsig

5) Precision internal Vref

6) A component in PSOC land is an onchip resource, like counter, SR, timer...
One can design custom reusable components using schematic and/or Verilog.
Decent lib in addition to standard have been done by users. 74HC parts, DDS,
CPLD functions, CORDIC, sw cap block........

7) Analog everything from basic muxes to opamps, mixers, PGA, DSP filter,
comparator, DAC, S/H, T/H......

8) Rich lib of APIs to manipulate each component, rare to write a driver.

9) Hundreds of example projects you can cut and.s paste from.

10) Excellent forum at Infineon.com for help.

11) IDE (PSOC Creator) and compiler free. IDE many wizards, like DMA
wizard, state machine wizard, ohmmeter to evaluate analog routing paths....

12) Board to start with, CY8CKIT-059, < $ 20

13) You can do codeless designs of just logic, near codeless using advanced
peripherals and just configed with its respective wizard (eg you dont have to
change anything in real time on its config. "Near", one start instruction for
each component used (not gates, the more sophisticated ones).

14) Low end PSOC 4, Mid Range 5LP, and 6 family (dual core).


Regards, Dana.
 
Last edited:

Latest threads

Back
Top