Continue to Site

Welcome to our site!

Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

  • Welcome to our site! Electro Tech is an online community (with over 170,000 members) who enjoy talking about and building electronic circuits, projects and gadgets. To participate you need to register. Registration is free. Click here to register now.

VHDL Seek help

Status
Not open for further replies.

Flipsyde412

New Member
Can anybody help me how to program a descending rotation using a vhdl. The output must be display through a 7-segment. The values required to be displayed are as follows "9-5-1-C-8-4-0". Is there somebody can help me here....thx...
 
1. Write VHDL code for a counter. Add conditions to the simple counter to count only from 0 to 6, with some kind of reset for repetition if you want that.
2. Figure out the output values necessary to form each character you want to display.
3. Write the VHDL code that uses the counter to set the desired set of output values based on the counter value.
4. If your clock frequency is too high (too fast), write VHDL code for a clock divider to create a slower internal clock.

If you want actual VHDL sample code, ask your teacher.
 
yer, if that is the only sequence you need to produce just make a simple state machine (ie a counter from 0-6) which is clocked with a clk at the correct down-sampled rate (from main clk).

Then just simply do:

if state == 2
output1 = 0
output2 = 1
...
output7 = 1

if state = 1
output1 = 1
output2 = 1
...
output7 = 0


simplest way. Sorry no where near a VHDL simulator to actually knock together a full state-machienfor this
 
Status
Not open for further replies.

Latest threads

New Articles From Microcontroller Tips

Back
Top